Je programme sous le logiciel Quartus. J'essaye de commander le moteur pas à pas 28BYJ-48 avec la carte FPGA DE10-Lite et la carte ULN2003 en utilisant des switch de la carte.
Voici mon code :
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.numeric_std.all;
Use ieee.std_logic_unsigned.all;
entity codeMoteur is
port (
code : in std_logic_vector (3 downto 0);
INx : out std_logic_vector (1 to 4)
);
end codeMoteur;
architecture DESCRIPTION of codeMoteur is
BEGIN
PROCESS(code)
BEGIN
IF code="0000" THEN
INx <= "0000";
ELSIF code="0001" THEN
INx <= "1000";
ELSIF code="0010" THEN
INx <= "0100";
ELSIF code="0100" THEN
INx <= "0010";
ELSIF code="1000" THEN
INx <= "0001";
ELSE INx <= "0000";
END IF;
END PROCESS;
END DESCRIPTION;
Le programme se compile bien, j'arrive à commander correctement les LEDs mais le moteur ne fonctionne pas.
Merci d'avance pour votre aide.
- Edité par ArnaudD_ 15 mars 2021 à 22:09:41
Commander moteur pas à pas 28BYJ-48 - FPGA -VHDL
× Après avoir cliqué sur "Répondre" vous serez invité à vous connecter pour que votre message soit publié.
× Attention, ce sujet est très ancien. Le déterrer n'est pas forcément approprié. Nous te conseillons de créer un nouveau sujet pour poser ta question.