Partage
  • Partager sur Facebook
  • Partager sur Twitter

Réalisation des filtres sur vhdl (Spartan 2)

    18 juillet 2017 à 14:44:48

    Bonjour,
    Je suis une débutante dans la programmation vhdl, pour commencer j'allumais des leds et je faisais de la logique combinatoire pour me familiariser avec ce langage mais il m'est demander de faire des filtre (passe_bas, passe_haut, passe_bande, RIF...) je n'ai aucune idée sur comment m'y prendre si quelqu'un a deja fait cela ou a deja croiser un site qui en parle je suis preneuse de tous ce que vous avez sur la programmation des filtres en VHDL .
    Merci beaucoup de votre future aide
    • Partager sur Facebook
    • Partager sur Twitter
    Si on entend, on oublie; Si nous le voyons, nous nous souvenons; Si nous le faisons, nous comprenons.
      19 juillet 2017 à 10:58:26

      merci beaucoup Nisnor de ton aide mais le lien que tu m'as envoyé est trop compliqué pour moi, je pensais que cela allait être plus facile, et je n'ai rien compris, si tu as d'autre piste j’apprécierais beaucoup, mecri bien

      Nisnor a écrit:

      Voila quelque chose qui semble en parler.

      -
      Edité par YassouYasmine 19 juillet 2017 à 14:55:19

      • Partager sur Facebook
      • Partager sur Twitter
      Si on entend, on oublie; Si nous le voyons, nous nous souvenons; Si nous le faisons, nous comprenons.
        19 juillet 2017 à 15:58:02

        Malheureusement, je doute qu'il existe plus simple que ça.

        Le filtrage de signaux est "facile" (disons qu'on s’affranchit de toutes les problématiques liées à l’échantillonnage des signaux, impératif pour passer de l'analogique au numérique) à comprendre dans le domaine analogique...

        Je trouve vraiment curieux qu'on te demande de faire du traitement de signal dans le domaine numérique pour débuter. Cette tache est autrement plus complexe : Elle nécessite déjà de bien comprendre comment ça se traiterait dans le domaine analogique...Et une fois que c'est fait, il faut encore comprendre comment on passe du domaine analogique au domaine numérique et pour finir, on doit se farcir les algos de traitement qui vont bien.

        Pour être franc, je n'ai pas beaucoup compris ce qui est raconté dans les exemples du lien que je t'ai passé...parce que je connais à peine superficiellement comment ça se traite en analogique...que j'ai essayé de m'intéresser vaguement au traitement de ces signaux dans le domaine numérique. Rien qu'à partir de là, je ne comprenais plus rien (formules & notions de maths que je n'ai jamais vu...et j'ai toujours été une triple buse en math)...Inutile de dire que je ne suis jamais allé jusqu'à la compréhension des algo de traitement de signaux numérique ^^ .

        Je ne sais pas qui ou quoi te demande de faire ça, mais heuuuu...c'est pas très réaliste comme projet, a mon sens, le traitement de signal numérique, c'est pas le genre de sujet qu'on donne à des débutants.

        -
        Edité par Nisnor 19 juillet 2017 à 15:59:21

        • Partager sur Facebook
        • Partager sur Twitter
          19 juillet 2017 à 21:37:50

          Moi je suis une pure électronicienne, genre de la vieille école, je pensais que comme les filtres en électronique c'est juste un condensateur et une résistance et basta, la programmation en vhdl serait aussi simple, mais je me suis vraiiiiiment trompée apparemment, je suis perdue :'( :'( :'(

          -
          Edité par YassouYasmine 11 août 2017 à 12:09:41

          • Partager sur Facebook
          • Partager sur Twitter
          Si on entend, on oublie; Si nous le voyons, nous nous souvenons; Si nous le faisons, nous comprenons.
            20 juillet 2017 à 15:46:27

            En gros on te demande de faire un filtre IIR d'ordre 1, c'est le truc le plus basique. On te donne l'équation:

            y(n) = x(n) + coeff * y(n-1)

            Ce que tu dois faire c'est un truc du genre:

            variable sortie = 0
            
            boucle pour chaque échantillon
                sortie <= (sortie*coeff) + entrée

            tu vois c'est vachement plus simple qu'il semblait ! Note que le prof se soit planté d'ailleurs, pour que ça marche il faut faire 

             sortie <= sortie*coeff + entrée*(1-coeff)

            parce que sinon, c'est pas juste un filtre, il y a aussi du gain !

            comme t'es sur un FPGA tu n'as pas de nombres à virgule, ça tombe bien ton coefficient est 0.5 donc un décalage de bits suffit...

            • Partager sur Facebook
            • Partager sur Twitter

            Réalisation des filtres sur vhdl (Spartan 2)

            × Après avoir cliqué sur "Répondre" vous serez invité à vous connecter pour que votre message soit publié.
            × Attention, ce sujet est très ancien. Le déterrer n'est pas forcément approprié. Nous te conseillons de créer un nouveau sujet pour poser ta question.
            • Editeur
            • Markdown