Partage
  • Partager sur Facebook
  • Partager sur Twitter

Cour VHDL

    17 octobre 2011 à 13:50:03

    Bonjour,

    Connaissant le VHDL assez bien, je souhaiterai savoir s'il y a des personnes qui sont intéresser pour un cour de VHDL sur le SDZ ?

    J'expliquerai les bases d'un FPGA, les bases du VHDL et enfin l’interaction entre les 2.

    Cordialement,
    • Partager sur Facebook
    • Partager sur Twitter
      17 octobre 2011 à 13:58:51

      Pourquoi pas. Je vais étudier ce language dans ma formation d'ici un ans donc une introduction ne serait pas de refus :)
      • Partager sur Facebook
      • Partager sur Twitter
      Anonyme
        17 octobre 2011 à 16:58:49

        Moi aussi je suis très intéressé par un tel tutoriel. Ce genre de tutoriel serait franchement le bienvenu.
        • Partager sur Facebook
        • Partager sur Twitter
          17 octobre 2011 à 18:04:29

          Ça fait longtemps que j'en ai pas fait tiens.
          C'est un sujet très intéressant, surtout si tu arrives à caser un chapitre "où acheter son FPGA à pas trop cher pour pouvoir faire des tests".
          • Partager sur Facebook
          • Partager sur Twitter
            18 octobre 2011 à 12:51:30

            ok, je vais m'y attelé mais la section :
            "où acheter son FPGA à pas trop cher pour pouvoir faire des tests"
            CA va être dur de trouver ca sans l'appui de vos établissements scolaires ;)
            • Partager sur Facebook
            • Partager sur Twitter
              19 octobre 2011 à 2:06:54

              300$ ici

              Sinon je serais très intéressé moi aussi par ce tuto!
              C'est tellement chiant à apprendre tout seul...
              • Partager sur Facebook
              • Partager sur Twitter
                19 octobre 2011 à 8:53:57

                il me semble que j'ai vu moins cher (vers les 100$ etudiant )

                C parti pour un tuto en VHDL
                • Partager sur Facebook
                • Partager sur Twitter
                Anonyme
                  19 octobre 2011 à 10:13:41

                  Citation : SpaceFox

                  Ça fait longtemps que j'en ai pas fait tiens.
                  C'est un sujet très intéressant, surtout si tu arrives à caser un chapitre "où acheter son FPGA à pas trop cher pour pouvoir faire des tests".


                  Sparkfun ?
                  • Partager sur Facebook
                  • Partager sur Twitter
                    24 octobre 2011 à 12:52:16

                    oui, j'ai juste regarder :

                    http://www.sparkfun.com/products/8458

                    le FPGA est un xilinx Spartan3E, c'est le modèle d'exemple de mon tuto (en cour d'écriture) mais sur une autre carte (ce qui ne change 3 fois rien ; changement de carte expliquer)

                    Ca me donne une idée, je vais prendre cette carte pour le tp sur le fichier d'adaptation.
                    • Partager sur Facebook
                    • Partager sur Twitter
                      24 octobre 2011 à 15:33:02

                      Je viens d'hériter de ça.
                      En gros, ça ressemblait à "Hey salut, on donne des FPGA protoboard, t'en veut une?"
                      Comme quoi les lundi matin c'est pas toujours dla merde :D
                      J'ai hate de suivre ton cours ^^
                      • Partager sur Facebook
                      • Partager sur Twitter
                        1 décembre 2011 à 19:00:26

                        je vais être amené a l'utilisé sur une armadeus, ce seras donc avec plaisir que je lirais ton tuto (c'est mieux que seul)
                        • Partager sur Facebook
                        • Partager sur Twitter
                          3 décembre 2011 à 20:52:23

                          Date de sortie, normalement noël au plus tard !!
                          • Partager sur Facebook
                          • Partager sur Twitter
                            3 décembre 2011 à 22:48:20

                            Citation : bourgneuf

                            Date de sortie, normalement noël au plus tard !!


                            Date d'envoi en validation : !noël au plus tard.
                            Je précise pour que personne ne soit déçu, l'auteur le premier : la validation peut prendre un certain temps. Surtout sur un big-tuto, et encore plus vers le moment de Noël, parce que les validateurs sont très pris ailleurs.
                            • Partager sur Facebook
                            • Partager sur Twitter
                            Anonyme
                              3 décembre 2011 à 23:06:26

                              Ouais, je confirme.
                              • Partager sur Facebook
                              • Partager sur Twitter
                                4 décembre 2011 à 17:14:29

                                Merci spaceFox,

                                surtout qu'il y aura surement des retouches à faire pour le rendre encore plus accessible
                                • Partager sur Facebook
                                • Partager sur Twitter
                                  4 décembre 2011 à 17:40:28

                                  C'est super, je vais appprendre ce langage d'ici un an dans ma formation. J'ai un peu joué avec des cartes de testage FPGA avec Quartus II mais j'ai seulement programmé avec les schémas de circuits logiques et non le langage.
                                  • Partager sur Facebook
                                  • Partager sur Twitter
                                    26 janvier 2012 à 21:08:46

                                    ou en es tu ?
                                    (oui je sais j'ai j'ai trop hâte :p )
                                    • Partager sur Facebook
                                    • Partager sur Twitter
                                      29 janvier 2012 à 17:58:28

                                      Ça avance pas au rythme prévu, du fait du travail à fournir a coté, mais j'ai pas abandonné.

                                      J’espère pouvoir sortir une version bêta-test dans pas trop longtemps.
                                      • Partager sur Facebook
                                      • Partager sur Twitter
                                        14 février 2012 à 1:50:45

                                        je serais très interessé par ce tuto également :D
                                        • Partager sur Facebook
                                        • Partager sur Twitter
                                          22 février 2012 à 11:28:26

                                          Je serais très intéressé ! :)
                                          • Partager sur Facebook
                                          • Partager sur Twitter
                                            26 février 2012 à 14:19:44

                                            Salut Bourgneuf,
                                            Ou en es tu dans la réalisation de ton cours sur le VHDL/FPGA?
                                            J'avais pensé un temps m'atteler aussi à la rédaction d'un cours sur ce sujet, mais je ne veux pas me lancer la dedans si tu as déja abattu ce travail, peut être pourrais-je te relire par contre ?

                                            Cordialement
                                            • Partager sur Facebook
                                            • Partager sur Twitter
                                              27 février 2012 à 11:55:52

                                              Sparkfun pour les cartes FPGA... c'est pas trop leur coeur de métier même s'ils font pleins de cartes très bien, d'autres sociétés sont spécialisées dans le domaine :

                                              - Digilent propose des cartes à base de FPGA Xilinx pour toutes les bourses.

                                              * Basys 2 : environ 60-80€ : carte basique avec pas 2 ports (VGA, PS2) pas mal de boutons, des 7 segments, etc. Pas mal pour débuter.

                                              * Nexys 2/3 : environ 150-200€ : carte pas mal quand on maitrise un peu le VHDL et que l'on veut passer à tout ce qui touche à la mémoire : Flash, RAM ainsi qu'à l'Ethernet et l'USB.


                                              http://www.digilentinc.com/

                                              - Terasic : propose des cartes à base de FPGA Altera

                                              * DE0 Nano : environ 70€ : petite carte avec de la RAM qui est destinée en priorité à la mise en place de softcore (Nios II par exemple)

                                              * DE0 : environ 80-100€ : équivalent des Nexys de Digilent, un peu moins cher car il y a moins de RAM (8 Mo), pas mal pour débuter aussi si on sait qu'on aime un peu le VHDL.

                                              http://www.terasic.com.tw


                                              Petite question : as-tu fait des projets lors de stages ? (Ou alors peut-être travailles-tu déjà ? ^^).
                                              Car selon les écoles entre ce que l'on apprend à l'école et en entreprise, c'est "un peu" différent.

                                              Juste l'exemple le plus parlant que j'ai eu en cours et en TP : le prof nous dit qu'on peut mettre tout ce qu'on veux dans la liste de sensibilité d'un process, c'est vrai le VHDL l'autorise. En pratique on ne met que la clock et un reset, sauf cas vraiment exceptionnel.


                                              Dernier point, il faut pas croire que faire des projets en VHDL est super compliqué : pour accéder à de la RAM, on va dans un menu, on choisis "Controleur RAM", on spécifie les timings et tout et hop le logiciel génére le module associé. La valeur ajoutée, comme en informatique "classique" ne se fait pas à réecrire du code existant, éprouvé et optimisé, mais à coder un algorithme spécifique au projet.
                                              (Il est quand même possible de créer un controleur de zéro :) )
                                              • Partager sur Facebook
                                              • Partager sur Twitter
                                                27 février 2012 à 13:23:55

                                                Citation : zeqL



                                                Juste l'exemple le plus parlant que j'ai eu en cours et en TP : le prof nous dit qu'on peut mettre tout ce qu'on veux dans la liste de sensibilité d'un process, c'est vrai le VHDL l'autorise. En pratique on ne met que la clock et un reset, sauf cas vraiment exceptionnel.



                                                Dans le cas d'un process séquentiel, je suis d'accord oui,
                                                mais dans le cas d'un process combinatoire, il est nécessaire de remplir la liste de sensibilité afin de connaitre les signaux qui vont réveiller le process :)
                                                • Partager sur Facebook
                                                • Partager sur Twitter
                                                Anonyme
                                                  27 février 2012 à 13:32:41

                                                  Sauf que c'est une très mauvaise pratique de réveiller un process sur autre chose que la clock et le reset. :)

                                                  Dans le cas contraire, tu ne maîtrise absolument plus la propagation de l'information, tu encours le risque de faire des boucles à haute fréquence (coucou la CEM et la conso en énergie), de tout simplement écraser l'information avec une logique non maîtrisée...

                                                  La façon propre de faire les choses, dans le cas général reste d'utiliser des processus synchrones, comme le dit zeqL. Un processus combinatoire peut parfaitement être synchrone également.
                                                  • Partager sur Facebook
                                                  • Partager sur Twitter
                                                    28 février 2012 à 15:21:47

                                                    Je suis d'accord avec toi, sauf dans la cas de machine d'état où le process combinatoire est sensibilisé par les entrées.
                                                    • Partager sur Facebook
                                                    • Partager sur Twitter
                                                    Anonyme
                                                      28 février 2012 à 15:26:14

                                                      Ce qui justement est une mauvaise pratique. SURTOUT dans un système à états.

                                                      PS, histoire de documenter mon affirmation : http://forums.xilinx.com/t5/Synthesis/ [...] st/td-p/61284
                                                      • La liste de sensibilité est plus une doc qu'autre chose
                                                      • Il faut utiliser des process synchrones, même si ils sont combinatoires (synchrone === clock et reset uniquement comme déclencheurs)
                                                      • Partager sur Facebook
                                                      • Partager sur Twitter

                                                      Cour VHDL

                                                      × Après avoir cliqué sur "Répondre" vous serez invité à vous connecter pour que votre message soit publié.
                                                      × Attention, ce sujet est très ancien. Le déterrer n'est pas forcément approprié. Nous te conseillons de créer un nouveau sujet pour poser ta question.
                                                      • Editeur
                                                      • Markdown